PUBLICATIONS

2019

Asymmetric gating for reducing leakage current in carbon nanotube field-effect transistors
T Srimani, G Hills, X Zhao, D Antoniadis, JA del Alamo, MM Shulaker
Applied Physics Letters 115 (6), 063107

 
Modern microprocessor built from complementary carbon nanotube transistors
G Hills, C Lau, A Wright, S Fuller, MD Bishop, T Srimani, P Kanhaiya, …
Nature 572 (7771), 595-602
 
ALD HfO2 films for defining microelectrodes for electrochemical sensing and other applications
C Chia, MM Shulaker, J Provine, SS Jeffrey, RT Howe
ACS Applied Materials & Interfaces, 2019
 
1 Kbit 6T SRAM Arrays in Carbon Nanotube FET CMOS
PS Kanhaiya, C Lau, G Hills, M Bishop, MM Shulaker
2019 Symposium on VLSI Technology, T54-T55
 
Monolithic Three-Dimensional Imaging System: Carbon Nanotube Computing Circuitry Integrated Directly Over Silicon Imager
T Srimani, G Hills, C Lau, M Shulaker
2019 Symposium on VLSI Technology, T24-T25
 
Special Session (New Topic): Emerging Computing and Testing Techniques
M Shulaker, L Lebrun, B Kaminska, B Courtois
2019 IEEE 37th VLSI Test Symposium (VTS), 1-2
 
Carbon Nanotube CMOS Analog Circuitry
R Ho, C Lau, G Hills, M Shulaker
IEEE Transactions on Nanotechnology
 
X3D: Heterogeneous Monolithic 3D Integration of “X”(Arbitrary) Nanowires: Silicon, III–V, and Carbon Nanotubes
PS Kanhaiya, Y Stein, W Lu, JA del Alamo, MM Shulaker
IEEE Transactions on Nanotechnology 18, 270-273
 
29.8 SHARC: Self-Healing Analog with RRAM and CNFETs
AG Amer, R Ho, G Hills, AP Chandrakasan, MM Shulaker
2019 IEEE International Solid-State Circuits Conference-(ISSCC), 470-472
 
NONSILICON, NON-VON NEUMANN COMPUTING—PART I
MMS Aly, TF Wu, A Bartolo, YH Malviya, W Hwang, G Hills, I Markov, …
Proceedings of the IEEE 107 (1)
 
30-nm Contacted Gate Pitch Back-Gate Carbon Nanotube FETs for Sub-3-nm Nodes
T Srimani, G Hills, MD Bishop, MM Shulaker
IEEE Transactions on Nanotechnology 18, 132-138

2018

The N3XT approach to energy-efficient abundant-data computing
MMS Aly, TF Wu, A Bartolo, YH Malviya, W Hwang, G Hills, I Markov, …
Proceedings of the IEEE 107 (1), 19-48
 
Hyperdimensional Computing Nanosystem
A Rahimi, TF Wu, H Li, JM Rabaey, HSP Wong, MM Shulaker, S Mitra
arXiv preprint arXiv:1811.09557

Tunable n-Type Doping of Carbon Nanotubes through Engineered Atomic Layer Deposition HfOX Films
C Lau, T Srimani, MD Bishop, G Hills, MM Shulaker
ACS nano 12 (11), 10924-10931

Hyperdimensional Computing Exploiting Carbon Nanotube FETs, Resistive RAM, and Their Monolithic 3D Integration
TF Wu, H Li, PC Huang, A Rahimi, G Hills, B Hodson, W Hwang, …
IEEE Journal of Solid-State Circuits 53 (11), 3183-3196
 
Understanding energy efficiency benefits of carbon nanotube field-effect transistors for digital VLSI
G Hills, MG Bardon, G Doornbos, D Yakimets, P Schuddinck, R Baert, …
IEEE Transactions on Nanotechnology 17 (6), 1259-1269
 
DISC-FETs: Dual independent stacked channel field-effect transistors
PS Kanhaiya, G Hills, DA Antoniadis, MM Shulaker
IEEE Electron Device Letters 39 (8), 1250-1253
 
TRIG: Hardware accelerator for inference-based applications and experimental demonstration using carbon nanotube FETs
G Hills, D Bankman, B Moons, L Yang, J Hillard, A Kahng, R Park, …
Proceedings of the 55th Annual Design Automation Conference, 74 

Brain-inspired computing exploiting carbon nanotube FETs and resistive RAM: Hyperdimensional computing case study
TF Wu, H Li, PC Huang, A Rahimi, JM Rabaey, HSP Wong, MM Shulaker, …
2018 IEEE International Solid-State Circuits Conference-(ISSCC), 492-494